Cinq générations de Core i7 : de Sandy Bridge à Skylake. Tests comparatifs. Intel Sandy Bridge : performances pour tous et overclocking pour l'élite

Ces jours-ci, Intel présente au monde des processeurs tant attendus Pont de Sable, dont l'architecture était auparavant qualifiée de révolutionnaire. Mais non seulement les processeurs sont devenus nouveaux ces jours-ci, mais aussi tous les composants associés aux nouvelles plates-formes de bureau et mobiles.

Ainsi, cette semaine, pas moins de 29 nouveaux processeurs, 10 chipsets et 4 adaptateurs sans fil pour ordinateurs portables, de bureau et de jeu ont été annoncés.

Les innovations mobiles incluent :

    processeurs Intel Core i7-2920XM, Core i7-2820QM, Core i7-2720QM, Core i7-2630QM, Core i7-2620M, Core i7-2649M, Core i7-2629M, Core i7-2657M, Core i7-2617M, Core i5- 2540M, Core i5-2520M, Core i5-2410M, Core i5-2537M, Core i3-2310M ;

    Chipsets Intel QS67, QM67, HM67, HM65, UM67 Express ;

    contrôleurs de réseau sans fil Intel Centrino Advanced-N + WiMAX 6150, Centrino Advanced-N 6230, Centrino Advanced-N 6205, Centrino Wireless-N 1030.

Dans le segment des ordinateurs de bureau, il y aura :

    processeurs Intel Core i7-2600K, Core i7-2600S, Core i7-2600, Core i5-2500K, Core i5-2500S, Core i5-2500T, Core i5-2500, Core i5-2400, Core i5-2400S, Core i5- 2390T, Core i5-2300 ;

    Chipsets Intel P67, H67, Q67, Q65, B65 Express.

Mais il convient immédiatement de noter que l'annonce de la nouvelle plate-forme ne s'applique pas à tous les modèles de processeurs et chipsets - à partir de début janvier, seules les solutions de classe « grand public » sont disponibles, et la plupart des plus répandues et moins chères le seront. sera mis en vente un peu plus tard. Parallèlement à la sortie des processeurs de bureau Sandy Bridge, un nouveau socket de processeur a été introduit pour eux. LGA1155. Ainsi, les nouveaux produits ne complètent pas la gamme Intel Core i3/i5/i7, mais remplacent les processeurs pour LGA 1156, dont la plupart deviennent désormais une acquisition totalement peu prometteuse, car dans un avenir proche, leur production devrait s'arrêter complètement. Et seulement pour les passionnés, jusqu'à la fin de l'année, Intel promet de continuer à sortir d'anciens modèles quadricœurs basés sur le cœur Lynnfield.

Cependant, à en juger par la feuille de route, la longue plate-forme Socket T (LGA 775) restera pertinente au moins jusqu'au milieu de l'année, constituant la base des systèmes d'entrée de gamme. Pour les systèmes de jeu les plus productifs et les vrais passionnés, les processeurs basés sur le cœur Bloomfield sur le socket LGA 1366 seront pertinents jusqu'à la fin de l'année. cycle de vie les processeurs dual-core avec un adaptateur graphique « intégré » sur le cœur Clarkdale se sont avérés très courts, un an seulement, mais ce sont eux qui ont « parcouru » le chemin du Sandy Bridge présenté « aujourd'hui », habituant le consommateur au idée que non seulement un contrôleur de mémoire peut être intégré dans un processeur, mais aussi dans une carte vidéo. Le moment est désormais venu non seulement de publier des versions plus rapides de ces processeurs, mais également de mettre à jour sérieusement l'architecture afin d'assurer une augmentation notable de leur efficacité.

Les principales caractéristiques des processeurs à architecture Sandy Bridge sont :

    production conforme à la technologie de traitement 32 nm ;

    efficacité énergétique considérablement accrue;

    technologie optimisée Intel Turbo Prise en charge de Boost et Intel Hyper-Threading ;

    une augmentation significative des performances du cœur graphique intégré ;

    mise en place d'un nouvel ensemble Instructions Intel Advanced Vector Extension (AVX) pour accélérer le traitement des nombres réels.

Mais toutes les innovations ci-dessus ne permettraient pas de parler d'une architecture véritablement nouvelle si tout cela n'était pas désormais implémenté au sein d'un seul cœur (puce), contrairement aux processeurs basés sur le cœur Clarkdale.

Naturellement, pour que tous les nœuds de processeur fonctionnent en harmonie, il était nécessaire d'organiser un échange rapide d'informations entre eux - le Ring Interconnect est devenu une innovation architecturale importante.

Ring Interconnect se connecte via la mémoire cache L3, désormais appelée LLC (Last Level Cache), les cœurs de processeur, le cœur graphique et l'agent système, qui comprend un contrôleur de mémoire, un contrôleur de bus PCI Express, un contrôleur DMI, un module de gestion de l'alimentation et d'autres contrôleurs et modules précédemment appelés "uncore".

Le bus Ring Interconnect est la prochaine étape du développement du bus QPI (QuickPath Interconnect), qui, après avoir été testé sur des processeurs de serveur dotés de l'architecture Nehalem-EX à 8 cœurs mise à jour, a migré vers le cœur des processeurs pour ordinateurs de bureau et mobiles. systèmes. L'interconnexion en anneau crée quatre anneaux de 32 bits pour l'anneau de données, l'anneau de demande, l'anneau de surveillance et l'anneau d'accusé de réception. Le bus en anneau fonctionne à la fréquence centrale, de sorte que son débit, sa latence et sa consommation électrique dépendent entièrement de la fréquence de fonctionnement des unités de calcul du processeur.

Le cache de troisième niveau (LLC - Last Level Cache) est commun à tous les cœurs de calcul, au cœur graphique, à l'agent système et aux autres blocs. Dans ce cas, le pilote graphique détermine quels flux de données placer dans la mémoire cache, mais toute autre unité peut accéder à toutes les données de la LLC. Un mécanisme spécial contrôle l'allocation de la mémoire cache pour garantir qu'aucune collision ne se produise. Afin d'accélérer le travail, chacun des cœurs du processeur possède son propre segment de mémoire cache, auquel il a un accès direct. Chacun de ces segments comprend un contrôleur d'accès au bus Ring Interconnect indépendant, mais il existe en même temps une interaction constante avec l'agent système, qui effectue la gestion globale du cache.

L'agent système est essentiellement un « pont nord » intégré au processeur et combine des contrôleurs de bus PCI Express, DMI, RAM, une unité de traitement vidéo (processeur multimédia et gestion d'interface), un gestionnaire d'alimentation et d'autres unités auxiliaires. L'agent système interagit avec d'autres nœuds de processeur via un bus en anneau. En plus de rationaliser les flux de données, l'agent système surveille la température et la charge de divers blocs et, via l'unité de contrôle de puissance, assure le contrôle de la tension d'alimentation et des fréquences afin de garantir la meilleure efficacité énergétique à haute performance. Ici, on peut noter que pour alimenter les nouveaux processeurs, un stabilisateur de puissance à trois composants est nécessaire (ou deux, si le cœur vidéo intégré reste inactif) - séparément pour les cœurs de calcul, l'agent système et la carte vidéo intégrée.

Le bus PCI Express intégré au processeur est conforme à la spécification 2.0 et dispose de 16 voies permettant d'augmenter la puissance du sous-système graphique à l'aide d'un puissant accélérateur 3D externe. Dans le cas de l'utilisation d'anciens ensembles de logique système et d'un accord sur les problèmes de licence, ces 16 lignes peuvent être divisées en 2 ou trois emplacements en modes 8x+8x ou 8x+4x+4x, respectivement, pour NVIDIA SLI et/ou AMD CrossFireX.

Pour échanger des données avec le système (disques, ports E/S, périphériques dont les contrôleurs sont situés dans le chipset), on utilise le bus DMI 2.0, qui permet de pomper jusqu'à 2 Go/s. informations utiles dans les deux sens.

Une partie importante de l'agent système est le contrôleur de mémoire DDR3 double canal intégré au processeur, qui prend en charge nominalement les modules à des fréquences de 1 066 à 1 333 MHz, mais lorsqu'il est utilisé dans des cartes mères basées sur le chipset Intel P67 Express, il peut facilement assurer le fonctionnement. de modules à des fréquences allant jusqu'à 1600 et même 2133 MHz. Placer le contrôleur de mémoire sur la même puce que les cœurs du processeur (le cœur Clarkdale était composé de deux puces) devrait réduire la latence de la mémoire et, par conséquent, augmenter les performances du système.

Grâce en partie à la surveillance avancée des paramètres de tous les cœurs de traitement, de la mémoire cache et des unités auxiliaires, implémentée dans l'unité de contrôle de puissance, les processeurs Sandy Bridge disposent désormais de la technologie Intel Turbo Boost 2.0 améliorée. Désormais, en fonction de la charge et des tâches effectuées, les cœurs de processeur, si nécessaire, peuvent être accélérés même au-delà du package thermique, comme avec un overclocking manuel normal. Mais l'agent système surveillera la température du processeur et de ses composants, et lorsqu'une « surchauffe » sera détectée, les fréquences des nœuds diminueront progressivement. Cependant, les processeurs de bureau ont une durée de fonctionnement limitée en mode super-accéléré, car ici, il est beaucoup plus facile d'organiser un refroidissement beaucoup plus efficace qu'une glacière « en boîte ». Un tel « overboost » permettra d'augmenter les performances aux moments critiques pour le système, ce qui devrait donner à l'utilisateur l'impression de travailler avec plus de ressources. système puissant, et réduisez également le temps d’attente de la réponse du système. De plus, Intel Turbo Boost 2.0 garantit que le cœur vidéo intégré offre également des performances dynamiques sur les ordinateurs de bureau.

L'architecture du processeur Sandy Bridge implique non seulement des changements dans la structure de communication inter-composants et des améliorations des capacités et de l'efficacité énergétique de ces composants, mais également des changements internes dans chaque cœur de calcul. Si l’on ignore les améliorations « cosmétiques », les plus importantes sont les suivantes :

    retour à l'allocation de mémoire cache pour environ 1,5 mille micro-opérations décodées L0 (utilisées dans le Pentium 4), qui est une partie distincte de L1, qui assure simultanément un chargement plus uniforme des pipelines et réduit la consommation d'énergie en raison de pauses accrues dans le fonctionnement de circuits de décodage d'opérations assez complexes ;

    augmenter l'efficacité du bloc de prédiction de branchement en raison d'une augmentation de la capacité des tampons d'adresses des résultats de branchement, de l'historique des commandes et de l'historique de branchement, ce qui a augmenté l'efficacité des pipelines ;

    augmenter la capacité du tampon d'instructions réorganisé (ROB - ReOrder Buffer) et augmenter l'efficacité de cette partie du processeur grâce à l'introduction d'un fichier de registre physique (PRF - Physical Register File, également une caractéristique du Pentium 4) pour stockage de données, ainsi que l'extension d'autres tampons ;

    doubler la capacité des registres pour travailler avec des données réelles en streaming, qui dans certains cas peuvent fournir deux fois plus vitesse plus élevée effectuer des opérations qui les utilisent ;

    augmenter l'efficacité de l'exécution des instructions de chiffrement pour les algorithmes AES, RSA et SHA ;

    introduction de nouvelles instructions vectorielles Advanced Vector Extension (AVX) ;

  • optimisation de la mémoire cache des premier niveaux L1 et deuxième L2.

Une caractéristique importante du cœur graphique des processeurs Sandy Bridge est qu'il est désormais situé sur la même puce que le reste des blocs, et que ses caractéristiques sont contrôlées et son état est surveillé au niveau matériel par un agent système. Dans le même temps, le bloc de traitement des données multimédias et de génération de signaux pour les sorties vidéo est placé dans cet agent système même. Cette intégration permet une plus grande collaboration, une latence plus faible, une plus grande efficacité et bien plus encore.

Cependant, il n’y a pas autant de changements dans l’architecture graphique du cœur lui-même que nous le souhaiterions. Au lieu de la prise en charge attendue de DirectX 11, la prise en charge de DirectX 10.1 a simplement été ajoutée. En conséquence, peu d'applications prenant en charge OpenGL sont limitées à une compatibilité matérielle uniquement avec la version 3 de la spécification de cette API gratuite. Dans le même temps, même s'il est question d'améliorer les unités de calcul, il en existe toujours le même nombre - 12, et encore uniquement pour les processeurs plus anciens. Cependant, augmenter la fréquence d'horloge à 1350 MHz promet dans tous les cas une augmentation notable des performances.

D'un autre côté, il est très difficile de créer un cœur vidéo intégré offrant des performances et des fonctionnalités vraiment élevées pour les jeux modernes à faible consommation d'énergie. Par conséquent, le manque de prise en charge des nouvelles API n'affectera que la compatibilité avec les nouveaux jeux, et les performances, si vous voulez vraiment jouer confortablement, devront être augmentées à l'aide d'un accélérateur 3D discret. Mais l'extension des fonctionnalités lors du travail avec des données multimédias, principalement lors de l'encodage et du décodage vidéo dans le cadre d'Intel Clear Video Technology HD, peut être considérée comme l'un des avantages d'Intel HD Graphics II (Intel HD Graphics 2000/3000).

Le processeur multimédia mis à jour vous permet de décharger les cœurs du processeur lors de l'encodage vidéo aux formats MPEG2 et H.264, et étend également l'ensemble des fonctions de post-traitement avec l'implémentation matérielle d'algorithmes d'ajustement automatique du contraste de l'image (ACE - Adaptive Contrast Enhancement), de la couleur. correction (TCC – Total Color Control) et amélioration de l’apparence de la peau (STE – Skin Tone Enhancement). La prise en charge mise en œuvre augmente les perspectives d'utilisation d'une carte vidéo intégrée Interface HDMI version 1.4, compatible avec Blu-ray 3D (Intel InTru 3D).

Toutes les caractéristiques architecturales ci-dessus confèrent à la nouvelle génération de processeurs une supériorité notable en termes de performances par rapport aux modèles de la génération précédente, à la fois dans les tâches informatiques et lors du travail avec la vidéo.

En conséquence, la plate-forme Intel LGA 1155 devient plus productive et fonctionnelle, remplaçant le LGA 1156.

En résumé, la famille de processeurs Sandy Bridge est conçue pour résoudre un très large éventail de tâches avec une efficacité énergétique élevée, ce qui devrait les rendre véritablement répandues dans les nouveaux systèmes productifs ah, surtout quand des modèles plus abordables dans une large gamme apparaissent en vente.

Dans un avenir proche, 8 processeurs pour systèmes de bureau seront progressivement mis à la disposition des clients différents niveaux: Intel Core i7-2600K, Intel Core i7-2600, Intel Core i5-2500K, Intel Core i5-2500, Intel Core i5-2400, Intel Core i5-2300, Intel Core i3-2120 et Intel Core i3-2100. Les modèles avec l'indice K se distinguent par un multiplicateur gratuit et un adaptateur vidéo Intel HD Graphics 3000 intégré plus rapide.

Des modèles économes en énergie (indice S) et hautement économes en énergie (indice T) ont également été lancés pour les systèmes à énergie critique.

Pour prendre en charge les nouveaux processeurs, des cartes mères basées sur les chipsets Intel P67 Express et Intel H67 Express sont disponibles aujourd'hui, et dans un avenir proche, elles devraient intégrer Intel Q67 Express et Intel B65 Express, destinés à utilisateurs d'entreprise et les petites entreprises. Tous ces chipsets ont enfin commencé à prendre en charge les disques dotés de l'interface SATA 3.0, mais pas tous les ports. Mais ils ne prennent pas en charge le bus USB 3.0, apparemment encore plus populaire. Une caractéristique intéressante des nouveaux chipsets pour cartes mères classiques est qu'ils ne prennent plus en charge le bus PCI. De plus, le générateur d'horloge est désormais intégré au chipset et il n'est possible de contrôler ses caractéristiques sans affecter la stabilité du système que dans une très petite plage, si vous avez de la chance, alors seulement ±10 MHz, et en pratique encore moins .

Il convient également de noter que différents chipsets sont optimisés pour être utilisés avec différents processeurs dans des systèmes conçus à des fins diverses. Autrement dit, l'Intel P67 Express diffère de l'Intel H67 Express non seulement par le manque de prise en charge du travail avec la vidéo intégrée, mais également par des capacités étendues d'overclocking et de réglage des performances. À son tour, Intel H67 Express ne remarque pas du tout le multiplicateur gratuit dans les modèles avec l'indice K.

Mais en raison de caractéristiques architecturales, l'overclocking des processeurs Sandy Bridge n'est toujours possible qu'à l'aide d'un multiplicateur s'il s'agit d'un modèle de la série K. Bien que tous les modèles soient sujets à une certaine optimisation et à un overboost.

Ainsi, temporairement, pour créer l'illusion de travailler sur un processeur très puissant, même les modèles avec un multiplicateur verrouillé sont capables d'une accélération notable. Le temps nécessaire à une telle accélération pour les systèmes de bureau, comme mentionné ci-dessus, est limité par le matériel, et pas seulement par la température, comme dans le cas des PC mobiles.

Après avoir présenté toutes les caractéristiques et innovations architecturales, ainsi que les technologies propriétaires mises à jour, il ne reste plus qu'à résumer une fois de plus pourquoi Sandy Bridge est si innovant et à rappeler son positionnement.

Dans un avenir proche, il sera possible d'acheter des processeurs pour des systèmes performants et produits en série Série Intel Core i7 et Intel Core i5, dont la prise en charge diffère Technologies Intel Hyper-Threading (il est désactivé pour les modèles Intel Core i5 quadricœurs) et la quantité de mémoire cache de troisième niveau. Pour les acheteurs plus économiques, de nouveaux modèles Intel Core i3 sont présentés, qui ont 2 fois moins de cœurs de calcul, mais avec prise en charge d'Intel Hyper-Threading, seulement 3 Mo de cache LLC, ne prennent pas en charge Intel Turbo Boost 2.0 et sont tous équipés d'Intel. Graphiques HD 2000.

Des processeurs seront introduits pour les systèmes de masse au milieu de l'année Intel Pentium(il est très difficile d'abandonner cette marque, même si cela était prédit il y a un an) basée sur une architecture Sandy Bridge très simplifiée. En fait, ces processeurs « bourreaux de travail » rappelleront en termes de capacités l'actuel Core i3-3xx d'hier sur le cœur Clarkdale, car Ils perdront presque toutes les fonctions inhérentes aux anciens modèles pour LGA 1155.

Il reste à noter que la sortie des processeurs Sandy Bridge et de l'ensemble de la plate-forme de bureau LGA 1155 est devenue le prochain « Tac » dans le cadre du concept « Tic-Tac » d'Intel, c'est-à-dire une mise à jour majeure de l'architecture pour une publication sur la technologie de processus 32 nm déjà établie. Dans environ un an, les transformateurs nous attendront Pont de lierre avec une architecture optimisée et réalisée à l'aide d'une technologie de traitement de 22 nm, qui aura certainement à nouveau une « efficacité énergétique révolutionnaire », mais, espérons-le, n'éliminera pas le socket du processeur LGA 1155. Eh bien, nous attendrons de voir. En attendant, nous avons au moins un an pour étudier l'architecture de Sandy Bridge et la tester de manière approfondie. , que nous allons commencer dans les prochains jours.

Article lu 14572 fois

Abonnez-vous à nos chaînes

Nous ouvrons une série d'articles sur la nouvelle microarchitecture du processeur Intel Sandy Bridge. Dans le premier document, nous aborderons la théorie - nous parlerons de changements et d'innovations. Dans un avenir proche, les résultats des tests de la nouvelle plateforme et de nombreuses choses intéressantes apparaîtront sur les pages du blog.

Le concept Tick-Tock, inventé au sein d'Intel, continue de fonctionner : chaque année, le constructeur introduit une microarchitecture de processeur modifiée. La phase « Tick » consiste à améliorer les développements antérieurs (réduire le processus technique, introduire de nouvelles technologies pas trop révolutionnaires, etc.). Environ un an après « Tick », arrive « Tock » : la sortie de processeurs basés sur une toute nouvelle microarchitecture.

Début 2010, Intel a lancé une gamme de puces baptisées Westmere/Clarkdale, une amélioration technologique des tout premiers modèles Core i3/i5/i7 (Nehalem). C'est au tour de Tock. Découvrez la microarchitecture révolutionnaire Sandy Bridge, sur laquelle sont construits les processeurs sous le nom général Core 2011 - de tout nouveaux modèles Core i3, Core i5, Core i7, ainsi que des modèles économiques Pentium et Celeron.

Cette fois, le constructeur a décidé de ne pas perdre de temps sur des bagatelles et a immédiatement annoncé de nombreux modèles d'ordinateurs mobiles et de bureau dans toutes les gammes de prix. Certes, seules quelques versions, loin d'être les plus abordables, ont été mises en vente, mais nous en reparlerons plus tard.

La presse considère Sandy Bridge comme l'une des microarchitectures Intel les plus importantes de ces dernières années - le fabricant a fait tout son possible pour amener ses processeurs à un nouveau niveau de performances, a donné vie aux technologies présentées précédemment et a proposé une incroyable intégration d'unités de calcul et de contrôleurs. Comparés au Sandy Bridge, les modèles présentés précédemment ressemblent à des paroles de bébé. Examinons plus en détail les changements apportés à Core 2011.

Caractéristiques de la nouvelle microarchitecture

Il est peu probable qu’un schéma fonctionnel illustrant la microarchitecture de Sandy Bridge en dise beaucoup sur les technologies introduites et les changements globaux. Cependant, il convient de savoir que tous les composants des nouveaux processeurs sont très différents des composants du même Westmere/Clarkdale. La principale chose que vous devez comprendre avant d'étudier les fonctionnalités de Sandy Bridge est que les améliorations architecturales permettent aux nouveaux processeurs de fonctionner 10 à 50 % plus rapidement que la génération Core 2010.

Les ingénieurs Intel ont retravaillé l'unité de prédiction de branchement, modifié le préprocesseur, introduit un cache décodé avancé, un bus en anneau à grande vitesse, une unité d'extensions vectorielles AVX avancée, repensé le contrôleur RAM intégré et les liaisons de bus PCI Express, modifié la puce graphique intégrée au-delà de toute reconnaissance. , introduit bloc fixe pour l'accélération matérielle du transcodage vidéo, la technologie d'accélération automatique Turbo Boost a été perfectionnée, etc. Maintenant, vous pensez probablement qu'il y a vraiment beaucoup de changements ? Nous essaierons de passer brièvement en revue chacun d’eux afin d’avoir une certaine idée avant que des tests complets n’apparaissent dans nos blogs.

Pour commencer, les modèles Sandy Bridge à 4 cœurs sont constitués de 995 millions de transistors produits à l’aide d’une technologie éprouvée de 32 nanomètres. Environ 114 millions sont alloués aux besoins de la puce graphique, chaque cœur occupe 55 millions de transistors, le reste va à des contrôleurs supplémentaires. À titre de comparaison, un processeur AMD Phenom II X4 à 4 cœurs à part entière contient 758 millions de transistors, et Nehalem à 4 cœurs utilisait 731 millions de transistors. Avec tout cela, une puce de processeur Sandy Bridge à part entière occupe une superficie de 216 millimètres carrés - la puce de l'un des premiers processeurs Intel à 4 cœurs (Core 2 Quad) occupait une surface similaire avec un nombre beaucoup plus petit de transistors et, par conséquent, offraient des performances disproportionnellement inférieures.

Maintenant, permettez-moi de parler des principales innovations en matière de microarchitecture dans l'ordre.

Cache d'instructions décodées (cache micro-op) - Le mécanisme de cache micro-op introduit dans Sandy Bridge stocke les instructions au fur et à mesure qu'elles sont décodées. Lors des calculs, le processeur détermine si l'instruction suivante est entrée dans le cache. Si tel est le cas, le préprocesseur et le pipeline de calcul sont hors tension, ce qui permet d'économiser de l'énergie. Dans le même temps, 1,5 Ko de mémoire cache décodée sont entièrement intégrés au cache de premier niveau (L1).

L'unité de prédiction de branche repensée offre une précision accrue. Tout cela a été rendu possible grâce à plusieurs innovations de conception significatives.

Ring bus - pour combiner de nombreux blocs architecturaux, les processeurs Sandy Bridge utilisent un ring bus avancé et à très haut débit. L'interface doit son apparence au cœur graphique et au transcodeur vidéo intégrés - la nécessité de communiquer avec le cache de troisième niveau rendait le schéma de connexion précédent (environ 1 000 contacts pour chaque cœur) inefficace. Tous les composants importants du processeur sont connectés au bus repensé : graphiques, cœurs compatibles x86, transcodeur, agent système, cache L3.

Sous le nom « Agent système », il existe un bloc précédemment appelé un-core - les contrôleurs qui étaient auparavant placés dans le northbridge de la carte mère sont combinés ici. L'agent comprend 16 liens pour se connecter au bus PCI Express 2.0, un contrôleur RAM DDR3 double canal, une interface pour se connecter à un commun bus système DMI, unité de gestion de l'alimentation et unité graphique, responsables de la sortie d'image.

L'une des innovations les plus importantes de Sandy Bridge est considérée comme une puce graphique entièrement repensée. Commençons par le fait que désormais les graphiques sont intégrés à d'autres blocs dans une seule puce (auparavant, deux puces distinctes étaient cachées sous le capot métallique des processeurs Clarkdale). Les ingénieurs Intel se vantent d'avoir doublé le débit des composants de la puce graphique par rapport à la génération précédente d'Intel HD Graphics grâce aux modifications apportées à l'architecture des processeurs shader unifiés, à l'accès à la mémoire cache L3 et à d'autres améliorations. Dans le même temps, dans les nouveaux processeurs, il sera possible de trouver à la fois deux modèles de cœurs graphiques très différents - HD Graphics 2000 et HD Graphics 3000. Le premier propose six processeurs shader unifiés, le second - douze. Selon Intel et la presse spécialisée, les nouveaux graphiques rendent superflues les cartes vidéo discrètes les moins chères, mais nous n'en sommes pas encore convaincus dans une revue séparée. On avait presque oublié de dire que les nouveaux modèles HD Graphics prennent en charge DirectX 10 ; la transition vers des technologies graphiques plus modernes se fera dans les prochaines générations de processeurs.

De plus, la nouvelle puce graphique dispose d'une unité Media Engine distincte, composée de deux parties pour le transcodage et le décodage vidéo. Les ingénieurs d'Intel ont décidé de ne pas tenter le destin : auparavant, des processeurs de shader unifiés et, en partie, des unités fixes de faible consommation étaient impliqués dans le décodage et l'encodage vidéo. Selon des témoins oculaires, le Media Engine fixe s'acquitte de sa tâche plus rapidement et mieux que même les cartes vidéo monstrueuses dans la gamme de prix la plus élevée.

Les algorithmes d'overclocking automatique Turbo Boost modifiés permettent désormais au processeur de dépasser légèrement les normes de consommation d'énergie prescrites pendant une courte période - en pratique, cela signifie que le processeur sera capable d'effectuer des courses à grande vitesse sur de courtes distances. Bien entendu, l’automatisation ne vous permettra pas de franchir la ligne de fiabilité. Rappelons que Turbo Boost augmente automatiquement la fréquence d'un, deux, trois ou quatre cœurs si nécessaire. Ainsi, le modèle Intel Core i7 2600 le plus puissant peut augmenter la fréquence d'un cœur à 3,8 GHz lorsque vous travaillez avec des applications non optimisées pour une architecture multicœur.
Overclocking bloqué

Depuis le Pentium II, Intel a commencé à vendre des processeurs avec des multiplicateurs verrouillés afin que les utilisateurs ne puissent pas jouer avec la fréquence, et la société elle-même était toujours en mesure de vendre les mêmes modèles dans différentes gammes de prix. Mais les overclockers ont toujours eu la possibilité d'ajuster la fréquence du FSB. Malheureusement, avec l'avènement de Sandy Bridge, tout change à nouveau - le multiplicateur de la plupart des modèles est étroitement verrouillé et le générateur de fréquence de bus est intégré dans le seul pont des chipsets de la série 6 et est verrouillé à 100 MHz.

Le seul débouché pour l'overclocking reste les modifications avec des multiplicateurs déverrouillés - il existe peu de modèles de ce type dans la nouvelle gamme, mais ils existent et coûtent de l'argent tout à fait raisonnable.

Règle

Il est temps de parler des processeurs qui ont été présentés en premier - pour comprendre les nouveaux noms et comprendre quel processeur vous devez choisir pour vos besoins.
Lors de la sortie de Sandy Bridge, Intel a introduit 29 (vingt-neuf !) nouveaux modèles Core iX – quatorze pour les ordinateurs de bureau et quinze pour les ordinateurs mobiles.

Le constructeur est passé à un nouveau schéma de désignation de processeur encore plus obscur, que nous devons approfondir.
Ainsi, le nom de chaque nouvelle gamme de processeurs de bureau se compose d'une désignation de marque (Intel Core), du nom d'une ligne spécifique (i3, i5, i7), d'un index (2600) et d'un suffixe (K). Il n'y a que trois suffixes pour la ligne de bureau - K (multiplicateur déverrouillé), S (consommation électrique 65 W) et T (consommation électrique 34-45 W). Maintenant, le plus étrange est que la puissante puce graphique HD Graphics 3000 n'est incluse que dans les modèles avec un multiplicateur (K) déverrouillé ; les autres processeurs se contentent du HD Graphics 2000 sensiblement plus faible.

La gamme Core 2011 originale pour ordinateurs de bureau est assez bien décomposée par nom de ligne. Ainsi, les processeurs Core i7 sont des puces quadricœurs avec prise en charge de l'Hyper Threading (4 cœurs, 8 threads), les Core i3 sont de simples puces double cœur sans prise en charge de Turbo Boost, mais avec prise en charge de l'Hyper Threading (2 cœurs, 4 threads), Les Core i5 sont principalement des modèles quadricœurs avec prise en charge Turbo Boost, mais sans Hyper Threading. Malheureusement, à l'avenir, dans Ligne de base i5, il y aura également des modèles dual-core, mais ils seront principalement disponibles pour les assembleurs de systèmes prêts à l'emploi.

Une autre raison de différencier davantage la gamme est l'overclocking automatique du cœur graphique intégré. Les deux modèles graphiques fonctionnent initialement à 850 MHz, mais les processeurs Core i5 et Core i3 peuvent l'overclocker à 1 100 MHz. Ancien Core i7 - jusqu'à 1350 MHz. Pensez par vous-même à l'impact que cela aura sur la performance finale.

Avec les modifications mobiles de Sandy Bridge, les choses sont un peu plus compliquées. Pour commencer, absolument tous les processeurs mobiles de la nouvelle gamme utilisent la puissante puce graphique HD Graphics 3000 (même les modèles les plus économiques). Pour des raisons inconnues, Intel a décidé d'enfreindre la loi tacite du marketing et de se lancer dans les index - nous n'avons pas encore décidé comment nous comprendrons les modèles avec les index 2657, 2537, 2410 et 2720. En termes d'index, il existe des désignations XM, QM, M, indiquant des ordinateurs portables pour différentes tâches. En conséquence, les XM sont des modèles extrêmes pour les systèmes de jeu, les M sont des processeurs double cœur pour les ordinateurs portables économiques et les QM sont des processeurs quadricœurs pour les ordinateurs portables grand public.

Bien sûr, ce ne sont pas tous les modèles de l'année à venir - Intel continuera d'expérimenter et ravira occasionnellement les fans avec de nouvelles modifications. L'essentiel est de ne pas violer la logique des dirigeants inventée par vous-même.

Plate-forme

Avec Sandy Bridge, des chipsets de la série 6 avec le socket de processeur LGA1155 requis ont été présentés - les premiers signes étaient Intel P67 et Intel H67. Comprendre les deux modifications est facile. Intel P67 convient aux configurations dans lesquelles une carte graphique discrète sera utilisée et la plate-forme prend en charge les outils d'overclocking. De plus, les cartes basées sur P67 offrent 2x8 voies PCI Express 2.0 pour les configurations multi-GPU en modes AMD CrossFire ou NVIDIA SLI. L'Intel H67, au contraire, est peu utile pour l'overclocking : il ne prend en charge qu'un seul port PCI Express x16, mais il peut émettre un signal vidéo.

Tous ceux qui rêvent d'obtenir toutes les fonctionnalités sur une seule carte devront attendre un peu - quelque part au deuxième trimestre 2011, les développeurs présenteront Jeu de puces Intel Z68. Les cartes mères basées sur ce chipset prendront en charge le cœur graphique intégré au processeur, ainsi que toutes les fonctionnalités de l'Intel P67.

Quelques mots sur le nouveau socket du processeur - Intel a repensé les circuits et la structure du socket, de sorte que les anciens modèles Core 2010 pour LGA 1156 ne peuvent plus être utilisés. Heureusement, la taille du socket reste la même : vous pouvez installer ici de nombreux refroidisseurs pour LGA 1156 sans avoir à vous soucier de trouver les derniers modèles.

Les chipsets ne prennent toujours pas en charge nativement l'interface USB 3.0, bien que le marché semble tout à fait prêt pour de telles « innovations ». Les fans de tous les meilleurs devront se concentrer sur les cartes mères avancées, où les fabricants intègrent des composants tiers. Contrôleurs USB 3.0.

Heureusement, environ nouvelle version Interface SATA Intel n'a pas oublié : les nouvelles plates-formes prennent en charge SATA3 avec une bande passante allant jusqu'à 6 Gbit/s. Il est clair que les disques durs à broche classiques n'ont pas besoin de toutes ces augmentations de vitesse, mais les disques basés sur la mémoire flash apprécieront la fenêtre de vitesse. Par exemple, l'un des disques durs flash présentés au CES ne révélera ses capacités de vitesse que lorsqu'il est associé à SATA3 - il est à l'étroit dans SATA2 (nous parlons du Crucial RealSSD C300). Ce qui est important, c'est que les ports SATA3 des nouvelles cartes mères sont adjacents au SATA2, bien que la nouvelle interface offre une compatibilité ascendante totale avec la génération précédente - soyez plus prudent lorsque vous connectez votre SSD très cher.

Dans les nouveaux chipsets, les fabricants commencent enfin à se débarrasser du principal archaïsme - l'interface du BIOS. Pour remplacer le maladroit écran bleu L'UEFI vient du passé - nouvelle coque prend en charge le contrôle de la souris (ou du pavé tactile) et offre une interface nettement plus moderne et conviviale. Les autres fonctionnalités de l'UEFI incluent la prise en charge innée des disques durs de plus de 2,2 To.

On se retrouve avec quoi ?

Il existe une opinion largement répandue parmi les experts selon laquelle Sandy Bridge n'est qu'une évolution des microarchitectures précédentes et que la société n'a rien introduit de radicalement nouveau. Nous sommes d’accord avec l’autre partie des analystes. Malgré le fait que la nouvelle gamme n'offre pas de capacités véritablement révolutionnaires, le travail effectué par Intel mérite tous les éloges. Le fabricant a amené tous ses efforts à l'idéal - entièrement intégré tous les composants, amélioré la puce graphique à un niveau acceptable, complété le bus en anneau, repensé les fonctions du préprocesseur, révisé les capacités d'overclocking automatique du Turbo Boost, introduit une unité fixe pour la vidéo traitement, etc. En conséquence, nous disposons de processeurs entièrement nouveaux qui dépassent de la tête et des épaules les générations précédentes en termes de caractéristiques techniques.

Dans un avenir proche, les blogs DNS présenteront des tests du nouveau processeur dans les jeux et programmes populaires, un examen des capacités d'overclocking utilisant le refroidissement par air et un test de la puce graphique par rapport aux cartes vidéo discrètes économiques. Ne manquez pas.

", publié il y a environ un an, nous parlions de la microarchitecture Nehalem, qui a remplacé Core fin 2008. Dans cette revue, nous parlerons de l'architecture Sandy Bridge, qui devrait remplacer complètement Nehalem dans un avenir très proche.

Aujourd'hui, les puces basées sur Sandy Bridge sont présentées dans toutes les gammes de processeurs Intel, y compris les serveurs Xeon, les ordinateurs de bureau et noyau mobile i3/35/i7, Pentium et Celeron et Core i7 Extreme « extrême ». Peu de temps avant la publication de cet article, le 22 mai 2011, sept nouveaux processeurs supplémentaires basés sur Sandy Bridge ont été introduits.

Quelles sont les différences fondamentales entre Sandy Bridge et Nehalem et quels sont les caractéristiques et avantages de la nouvelle microarchitecture Intel ? En bref, ces différences sont les suivantes : le noyau graphique mis à jour en tant que partie de « l'agent système » est situé sur la même puce que celui informatique, il existe un nouveau tampon de micro-instructions L0, un cache L3 partagé, une technologie Turbo Boost améliorée, un ensemble d'instructions SIMD AVX et un contrôleur RAM DDR3 1333 MHz double canal repensé. Parallèlement à la nouvelle architecture, un nouveau socket de processeur LGA 1155 est apparu.

L'une des principales différences de conception entre Sandy Bridge et Nehalem réside dans le placement des cœurs de calcul et du pont nord (agent système) sur une seule puce. Rappelons que chez Nehalem le CPU lui-même et le pont nord étaient situés sous un capot commun, mais étaient en réalité placés sur des puces indépendantes, qui, de plus, étaient réalisées selon des normes technologiques différentes : le CPU était en 32 nm, et le pont nord était de 45 nm. Dans Sandy Bridge, il s'agit d'une puce unique, réalisée à l'aide d'une technologie de traitement 32 nm, sur laquelle se trouvent des cœurs de calcul, un cœur graphique, des contrôleurs RAM, PCI Express, une alimentation (Power Control Unit, PCU) et une unité de sortie vidéo. .

Le nouvel ensemble d'instructions SIMD dans les puces Sandy Bridge est appelé AVX - Advanced Vector Extensions, c'est-à-dire « instructions vectorielles avancées ». En fait, il s'agit de la prochaine génération d'instructions SIMD (Single Instruction, Multiple Data - « single command stream, multiple data stream » SSE5, alternative à l'ensemble x86 développé par AMD. La largeur des registres XMM dans les instructions AVX a été doublée. de 128 à 256 bits, 12 nouvelles instructions sont apparues avec prise en charge des instructions à quatre opérandes. Prend en charge la technologie de cryptage matériel Advanced Encryption Standard (AES) et le système de virtualisation Virtual Machine Extensions (VMX).

Malgré une conception similaire, les puces Sandy Bridge ont plus d'unités d'exécution que Nehalem : 15 contre 12 (voir schéma fonctionnel). Chaque unité d'exécution est connectée au planificateur d'instructions via un canal 128 bits. Deux unités d'exécution sont utilisées simultanément pour exécuter les nouvelles instructions AVX contenant des données de 256 bits.

Les puces Sandy Bridge sont capables de traiter jusqu'à quatre instructions par horloge grâce à quatre décodeurs intégrés aux unités de récupération d'instructions. Ces décodeurs convertissent les instructions x86 en micro-instructions simples de type RISC.

L'innovation la plus importante des processeurs Sandy Bridge est ce que l'on appelle le « cache de niveau zéro » L0, qui était pratiquement absent des processeurs de la génération précédente. Ce cache est capable de stocker jusqu'à 1536 micro-instructions décodées : son but est que lorsqu'un programme en cours d'exécution entre dans une boucle circulaire, c'est-à-dire en exécutant de manière répétée les mêmes instructions, il n'a pas besoin de décoder à nouveau les mêmes instructions. Ce schéma peut améliorer considérablement les performances : selon les experts Intel, L0 est utilisé dans 80 % du temps informatique, c'est-à-dire dans la grande majorité des cas. De plus, l'utilisation de L0 désactive les décodeurs et le cache L1, et la puce consomme moins d'énergie et génère moins de chaleur.

A propos de l'apparition d'un « cache de niveau zéro » dans les puces Sandy Bridge, on rappelle souvent le cache de trace des « vétérans de la course au gigahertz » - les processeurs Pentium 4 basés sur l'architecture NetBurst. Cependant, ces tampons fonctionnent différemment : dans le cache de trace, les instructions sont écrites exactement dans l'ordre dans lequel elles ont été exécutées, les mêmes instructions peuvent donc être répétées plusieurs fois. L0 stocke des instructions uniques, ce qui est bien entendu plus rationnel.

Le bloc de prédiction de branchement a subi des changements notables, recevant un tampon cible de branchement de deux fois la taille. De plus, le tampon utilise désormais un algorithme spécial de compression des données, grâce auquel le bloc est capable de préparer de gros volumes d'instructions, augmentant ainsi les performances de calcul.

Le sous-système de mémoire de Sandy Brigde a également été optimisé pour fonctionner avec des instructions AVX 256 bits. Pour rappel, Nehalem utilisait des ports dédiés de charge, d'adresse et de stockage de données liés à des ports de répartiteur distincts, ce qui signifie qu'il pouvait charger 128 bits de données du cache L1 par cycle d'horloge. Dans Sandy Brigde, les ports de chargement et de stockage peuvent être réutilisés selon les besoins et agir comme une paire de ports de chargement ou de stockage en même temps, permettant 256 bits de données par cycle d'horloge.

Sandy Bridge utilise une interconnexion en anneau pour connecter les composants de la puce, c'est-à-dire les cœurs de calcul, le cache L3, le cœur graphique et l'agent système (mémoire, PCI Express, contrôleurs d'alimentation et d'affichage). La base était le bus QPI à haut débit (Quick Path Interconnect, bande passante jusqu'à 6,4 Go/s à 3,2 GHz), implémenté pour la première fois dans les puces Nehalem Lynnfield (Core i7 9xxx pour Socket LGA1366), destiné aux passionnés.

Essentiellement, le bus en anneau de Sandy Bridge se compose de quatre anneaux de 32 octets : des bus de données, des bus de requêtes, des bus d'accusé de réception et des bus de surveillance. Les requêtes sont traitées à la fréquence de fonctionnement des cœurs de calcul, tandis qu'à une fréquence d'horloge de 3 GHz, le débit du bus atteint 96 Go par seconde. Dans ce cas, le système détermine automatiquement le chemin de transmission des données le plus court, garantissant ainsi une latence minimale.

L'utilisation d'un bus en anneau a permis d'implémenter d'une manière différente le cache de troisième niveau L3, qui dans Sandy Bridge s'appelait LLC (Last Level Cache, c'est-à-dire « cache de dernier niveau »). Contrairement à Nehalem, le LLC n'est pas partagé entre tous les cœurs, mais peut être partagé entre tous les cœurs, ainsi que les graphiques et l'agent système, selon les besoins. Il est important de noter que bien que chaque cœur de calcul possède son propre segment LLC, ce segment n'est pas strictement lié à « son » cœur et son volume peut être réparti entre d'autres composants via un bus en anneau.

Lors du passage à Sandy Bridge, Intel a attribué tous les composants processeur central, qui n'appartiennent pas aux cœurs de calcul eux-mêmes, sont collectivement appelés Agent système, c'est-à-dire « agent système ». En fait, ce sont tous des composants du soi-disant «pont nord» de l'ensemble logique du système, mais ce nom est encore plus approprié pour une puce distincte. Lorsqu'il est appliqué à Nehalem, le nom étrange et clairement malheureux "Uncore" a été utilisé, donc "agent système" semble beaucoup plus approprié.

Les principaux éléments de « l'agent système » incluent un contrôleur RAM DDR3 double canal amélioré jusqu'à 1 333 MHz, un contrôleur PCI Express 2.0 prenant en charge un bus x16, deux bus x8 ou un bus x8 et deux bus x4. La puce dispose d'une unité spéciale de gestion de l'énergie, sur la base de laquelle la technologie d'overclocking automatique Turbo Boost de nouvelle génération est mise en œuvre. Grâce à cette technologie, qui prend en compte l'état des cœurs informatiques et graphiques, la puce, si nécessaire, peut dépasser considérablement son boîtier thermique jusqu'à 25 secondes sans endommager le processeur ni compromettre les performances.

Utilisations de Sandy Bridge GPU nouveau Génération Intel HD Graphics 2000 et HD Graphics 3000, qui peuvent être constitués de six ou douze unités d'exécution (UE), selon le modèle de processeur. La vitesse d'horloge graphique nominale est de 650 ou 850 MHz et peut être augmentée à 1 100, 1 250 ou 1 350 MHz à mode Turbo Boost, qui s'étend désormais à l'accélérateur vidéo. Les graphiques prennent en charge l'interface de programmation Direct X 10.1 - les développeurs ont jugé inutile la prise en charge de Direct X 11, considérant à juste titre que les fans de jeux informatiques où cette API est vraiment demandée préféreraient dans tous les cas des graphiques discrets nettement plus puissants.

Le marquage des processeurs Sandy Bridge est assez simple et logique. Comme auparavant, il s'agit d'indices numériques, qui sont dans certains cas accompagnés de lettres. Vous pouvez distinguer Sandy Bridge de Nehalem par leur nom : l'index des nouvelles puces est à quatre chiffres et commence par deux (« deuxième génération »), et les anciens sont à trois chiffres. Par exemple, nous avons un processeur Intel Core i5-2500K. Ici, « Intel Core » signifie la marque, « i5 » signifie la série, « 2 » signifie la génération, « 500 » signifie le numéro de modèle et « K » signifie le numéro de lettre.

Quant aux indices de lettres, l'un d'eux est connu des puces dotées de la microarchitecture Nehalem - « S » (processeurs i5-750S et i7-860S). Il est attribué aux puces destinées aux machines multimédia domestiques. Les processeurs avec le même index numérique diffèrent en ce sens que les modèles avec la lettre d'index « S » fonctionnent à une fréquence d'horloge nominale légèrement inférieure, mais la « fréquence turbo » obtenue avec l'overclocking automatique Turbo Boost est la même. Autrement dit, dans mode normal ils sont plus économiques et leur système de refroidissement est plus silencieux que celui des modèles « standards ». Tous les nouveaux ordinateurs de bureau Seconde de base les générations sans index consomment 95 W et avec l'indice "S" - 65 W.

Les modifications avec l'indice « T » fonctionnent à une fréquence d'horloge encore plus basse que les modifications « de base », tandis que leur « fréquence turbo » est également inférieure. Le boîtier thermique de ces processeurs n'est que de 35 ou 45 W, ce qui est tout à fait comparable au TDP des puces mobiles modernes.

Et enfin, l'indice « K » désigne un multiplicateur déverrouillé, qui vous permet d'overclocker facilement le processeur, augmentant ainsi sa fréquence d'horloge.

Nous avons rencontré le commun solutions techniques, implémenté dans les processeurs « de bureau » avec l'architecture Sandy Bridge. Ensuite, nous parlerons des caractéristiques des différentes séries, étudierons la gamme de modèles actuelle et donnerons des recommandations sur les modèles spécifiques qui peuvent être considérés comme les meilleurs achats de leur catégorie.

La différence entre les processeurs « entièrement » et « partiellement » déverrouillés

Quel est le résultat? Après avoir testé Turbo Boost sur les générations précédentes de processeurs, Intel a décidé d'en faire un outil de positionnement tarifaire réel de ses produits les uns par rapport aux autres. Si auparavant les passionnés achetaient le plus souvent les processeurs bas de gamme de la série, les overclockant souvent facilement au niveau des modèles plus anciens, la différence de 400 MHz entre le i3-2100 et le i3-2120 coûte désormais 21 $, et vous ne pouvez rien faire. à ce sujet.

Les deux processeurs déverrouillés coûteront légèrement plus cher que les modèles standards. Cette différence sera moindre que dans le cas des générations précédentes - 11 $ pour le modèle 2500 et 23 $ pour le 2600. Intel ne veut toujours pas trop effrayer les overclockeurs. Cependant, 216 $ est désormais le seuil pour rejoindre le club. L'overclocking est amusant et vous devez payer pour cela. Il est clair que cette position pourrait attirer certains utilisateurs vers AMD, dont les processeurs économiques overclockent très bien.

L'overclocking lui-même est généralement devenu plus facile - les exigences en matière de carte mère et de RAM ont diminué et il y a moins de problèmes avec les timings et divers coefficients. Mais les amateurs de sports extrêmes ont encore de la marge - des traités entiers seront probablement écrits sur l'ajustement du BCLK.

Noyau graphique et synchronisation rapide

Intel a commencé à améliorer les performances de son cœur graphique intégré avec l'annonce de Clarkdale et Arrandale, mais à cette époque, il n'était pas possible de dépasser ses concurrents. La barre suivante a été fixée par AMD, qui est sur le point de détruire le marché des graphiques discrets d'entrée de gamme. La solution d'Intel est arrivée en premier, mais peut-elle faire face à la tâche ?

Commençons par le fait qu'il existe deux solutions. Ils sont appelés HD 2000 et HD 3000, et la différence entre eux réside dans le nombre différent d'unités d'exécution (UE). Dans le premier cas, il y en a 6, et dans le second, 12. GMA HD en avait également 12, mais l'augmentation des performances due à l'intégration et à l'architecture repensée a été très significative. DANS gamme de modèles Seuls quelques processeurs dotés d'un multiplicateur déverrouillé ont reçu des graphiques avancés des processeurs de bureau Intel. Ce sont exactement les modèles dans lesquels les graphiques intégrés sont les moins susceptibles d’être utilisés. Cette décision nous semble très étrange. Nous ne pouvons qu'espérer qu'à l'avenir, Intel publiera également des modifications de processeurs bas de gamme avec un cœur graphique entièrement déverrouillé.

Heureusement, tous les nouveaux processeurs mobiles de l'entreprise sont équipés du HD 3000. Intel est déterminé à mettre toute la pression sur les concurrents de ce segment, car il devrait être plus facile d'atteindre ici le niveau de performances des solutions d'entrée de gamme.

Les performances graphiques intégrées ne dépendent pas uniquement du nombre d’UE. Tous les ordinateurs de bureau Sandy ont la même fréquence de base (850 MHz), mais les plus anciens (2600 et 2600K) ont une fréquence Turbo Boost maximale plus élevée - 1350 MHz contre 1100 pour les autres. Le résultat sera également affecté dans une certaine mesure par la puissance des cœurs de traitement du CPU, mais bien plus fortement par la taille de sa mémoire cache. Après tout, l'une des principales caractéristiques des nouveaux graphiques est l'utilisation partagée de la mémoire cache de troisième niveau avec les cœurs de calcul, mise en œuvre grâce au bus en anneau LLC.

Comme les processeurs Clarkdale, les nouveaux produits utilisent l'accélération matérielle pour le décodage MPEG, VC-1 et AVC. Cependant, ce processus est désormais effectué beaucoup plus rapidement. Comme dans les graphiques discrets « adultes », les processeurs Sandy Bridge disposent d'une unité distincte dédiée à l'encodage/décodage vidéo. Contrairement aux processeurs de la génération précédente, il assume entièrement cette tâche. L’utilisation de l’accélération matérielle est bien plus bénéfique en termes d’efficacité énergétique, et les performances dans le cas de SNB sont très élevées. Intel promet la possibilité de décoder simultanément plus de deux flux 1080p. Cette performance peut être nécessaire pour transcoder rapidement une vidéo existante dans un format adapté à un appareil mobile. De plus, ses riches capacités multimédias font de SNB le meilleur choix lors de la création d'un système HTPC.

Le développement de solutions graphiques pour les processeurs Intel est réalisé par une division distincte de l'entreprise. Les nouveaux développements de cette division sont également très pertinents pour les processeurs mobiles de l'entreprise. Jusqu'à ce que le projet Larrabee soit correctement développé sous une forme ou une autre, Intel devra accepter des composants « non x86 » dans ses processeurs.

Intel Core i5-2400 et Core i5-2500K

Nous avons reçu 2 processeurs basés sur l'architecture Sandy Bridge. Le modèle 2500K présente un intérêt primordial car il possède un multiplicateur débloqué. À l’avenir, les benchmarks pour les modèles dual-core et les processeurs de la série i7 pourraient être publiés séparément.

informations générales

Le fait qu'Intel ait l'intention de transférer systématiquement vers le processeur les fonctions précédemment implémentées dans les ensembles logiques est devenu évident il y a plus de deux ans. Les premiers processeurs dotés de la microarchitecture Nehalem - Bloomfield - ont acquis un contrôleur de mémoire intégré. Dans la génération suivante, Lynnfield, un contrôleur de bus PCI Express a été ajouté au contrôleur de mémoire. Puis, à Clarkdale, un cœur graphique intégré est apparu dans le processeur, bien que mis en œuvre par un cristal semi-conducteur séparé. Sandy Bridge met la touche finale à cette intégration cohérente : les processeurs dotés d'une nouvelle microarchitecture contiendront tout à la fois dans une seule puce : cœurs de processeur, cœur graphique, contrôleur de mémoire et contrôleur de bus PCI Express.



La superficie des cristaux semi-conducteurs Sandy Bridge sera d'environ 225 mètres carrés. mm, c'est-à-dire que grâce au processus technologique moderne de 32 nm, ce cristal sera encore plus petit que le cristal des processeurs quadricœurs Bloomfield et Lynnfield ou du Gulftown à six cœurs.

Il n'y a aucun compromis en termes de performances dans Sandy Bridge. La structure générale des processeurs suppose la présence de deux ou quatre cœurs de processeur avec prise en charge de la technologie Hyper-Threading, un cache de troisième niveau jusqu'à 8 Mo, un contrôleur de mémoire DDR3 double canal, la prise en charge de 16 voies PCI Express 2.0 et la présence d'un cœur graphique DirectX 10.1 moderne. Autrement dit, les processeurs de nouvelle génération ont tout ce dont ils ont besoin pour fonctionner dans différents segments de marché, y compris les meilleurs.

Dans le contexte de l'intégration dans Sandy Bridge, des améliorations significatives ont été apportées à un niveau inférieur. La microarchitecture des cœurs de calcul a subi une refonte significative, ils ont reçu un certain nombre d'améliorations, grâce auxquelles les nouveaux processeurs seront sensiblement plus rapides que leurs prédécesseurs, même lorsqu'ils fonctionnent aux mêmes vitesses d'horloge. Dans le même temps, des améliorations ont été apportées pour réduire la production de chaleur, afin que Sandy Bridge puisse travailler encore plus efficacement. hautes fréquences. De plus, au niveau microarchitectural, les processeurs ont ajouté la prise en charge d'un nouvel ensemble d'instructions vectorielles AVX (Advanced Vector Extensions), qui seront utiles pour mettre en œuvre un certain nombre d'applications multimédias, financières ou algorithmes scientifiques. La différence fondamentale entre AVX et les précédents ensembles d'instructions vectorielles de la famille SSE réside dans l'augmentation de la largeur des opérandes de 128 à 256 bits, de sorte que pour de nombreuses tâches, ils permettront de traiter de grandes quantités de données à moindre coût. Ainsi, Sandy Bridge peut être caractérisé comme un pas en avant significatif dans plusieurs directions à la fois, ce qui donne lieu aux déclarations les plus flatteuses sur ce produit prometteur.



En lançant Sandy Bridge au début de l'année prochaine, Intel espère conquérir rapidement la plupart des segments de prix avec ces processeurs. Ainsi, en tout début d'année, une large gamme de Core i3, Core i5 et Core i7 avec une nouvelle microarchitecture sera proposée, les prix des différentes options varieront de 100$ à 300$. Et plus tard en 2011, des modifications moins chères seront présentées.



Selon les données disponibles, l'annonce du premier groupe de représentants de la famille Sandy Bridge est prévue pour le 5 janvier et le début de leurs ventes est le 9 janvier. Ce jour-là, la liste de prix de l'entreprise sera reconstituée avec les modèles quad-core suivants conçus pour les ordinateurs de bureau :



Il convient de noter qu'en plus de ce qui précède Modèles Intel proposera également toute une classe de processeurs Sandy Bridge économes en énergie pour mobiles et ordinateurs de bureau. En nous concentrant sur les versions destinées aux ordinateurs de bureau, nous pouvons également signaler le lancement de plusieurs Sandy Bridges le 5 janvier avec des TDP typiques de 65, 45 et 35 W.



Ainsi, la seule catégorie de prix qui restera longtemps dominée par les processeurs Nehalem est celle des processeurs coûteux, où les processeurs LGA1366 Bloomfiled et Gulftown continueront d'être cotés tout au long de l'année prochaine. Leur remplacement pourrait avoir lieu au plus tôt fin 2011, lorsque Intel préparera et adaptera sa prometteuse plate-forme serveur LGA2011 pour les ordinateurs de bureau. Des variantes spéciales « chargées » des processeurs Sandy Bridge-E, qui seront proposées dans le cadre de cette plate-forme, pourront offrir aux passionnés jusqu'à 8 cœurs de calcul, un cache L3 de 16 Mo, un contrôleur de mémoire à quatre canaux, 32 PCI Voies express 2.0 et autres « goodies », dont on ne peut aujourd'hui que rêver. Cependant, c'est une perspective lointaine : la première version de Sandy Bridge deviendra la base d'une plateforme plus banale, mais toujours nouvelle.

Bien que Sandy Bridge n'ait pas introduit de nœuds fondamentalement nouveaux par rapport à Clarkdale, la nouvelle génération de processeurs arrivera sur le marché avec la plate-forme LGA1155. Malheureusement, il n'est pas compatible avec le LGA1156, ce qui signifie que les nouveaux processeurs nécessiteront l'utilisation de cartes mères spéciales dotées d'un socket de processeur spécial.



Outre Sandy Bridge, une famille de nouveaux chipsets sera également utilisée, dont l'épine dorsale sera le chipset Intel P67 couramment utilisé et un chipset système prenant en charge les graphiques Intel H67. Tout comme les chipsets pour processeurs LGA1156, les P67 et H67 sont extrêmement simples : après avoir transféré les fonctions northbridge au processeur, ils sont constitués d'une seule puce - pont sud avec un ensemble de caractéristiques assez typiques. Outre la compatibilité avec Sandy Bridge, la principale caractéristique de ces nouveaux produits sera la prise en charge de deux ports SATA avec une bande passante de 6 Gbps.


Malheureusement, les nouveaux chipsets ne prennent pas en charge l'USB3, mais sans aucun doute, la grande majorité des cartes mères LGA1155 auront les ports correspondants implémentés via des contrôleurs supplémentaires. Il en va de même pour le bus PCI - l'absence d'un contrôleur standard correspondant dans les nouveaux ensembles logiques système ne signifie pas du tout que les connecteurs PCI traditionnels disparaîtront sur les cartes mères.

Malgré le fait qu'il reste encore du temps avant l'annonce de vrais processeurs dotés de la microarchitecture Sandy Bridge et de la plate-forme LGA1155, les informations disponibles nous permettent de faire des prévisions très précises sur les performances des futurs systèmes. Ainsi, en comparant les processeurs Sandy Bridge et Lynnfield entre eux, le même numéro cœurs et fonctionnant à la même fréquence d’horloge, la nouvelle microarchitecture offre des performances réelles 5 à 10 % plus élevées.



Performance selon inpai.com.cn. Par rapport
processeurs quad-core à 3,4 GHz


Dans le même temps, la consommation électrique des processeurs Sandy Bridge est inférieure d'environ 20 %, c'est-à-dire qu'en termes de performances par watt, les nouveaux processeurs ont pris une longueur d'avance. Et, en passant, si l'on tient compte du fait que les fréquences d'horloge des modèles Sandy Bridge dépassent d'environ 10 % les fréquences des processeurs Lynnfield équivalents, alors on peut généralement dire que l'ensemble de la plate-forme LGA1155 sera plus rapide que la précédente plate-forme LGA1156 d'ici au moins 25%. Ce nombre peut être utilisé comme ligne directrice pour une évaluation superficielle de la valeur pratique de la nouvelle microarchitecture, si vous fermez les yeux sur des améliorations plus profondes telles qu'un noyau graphique amélioré et la prise en charge des nouveaux jeux d'instructions AES-NI et AVX.

Les origines de la haute performance

La plupart des changements microarchitecturaux grâce auxquels les ingénieurs d'Intel ont réussi à augmenter les performances des processeurs tout en réduisant leur consommation d'énergie et leur dissipation thermique sont pour le moins inattendus. Le fait est que Sandy Bridge n'était pas seulement un développement évolutif ultérieur de Nehalem - il utilisait un certain nombre d'idées empruntées au projet apparemment raté du Pentium 4. Oui, oui, bien que la microarchitecture NetBurst ait longtemps été rejetée par Intel en raison de son caractère non rentable, Certains éléments fonctionnels des processeurs Pentium 4 se retrouvent désormais dans les futurs Core i3, Core i5 et Core i7. Et il est particulièrement ironique que les emprunts de Sandy Bridge à une microarchitecture vieille de dix ans servent non seulement à améliorer les performances, mais également à réduire la dissipation thermique.

Des changements importants dans la microarchitecture de Sandy Bridge commencent dès les premières étapes du pipeline - au stade du décodage des instructions x86 en micro-opérations de processeur plus simples. L'unité de décodage du flux d'instructions d'entrée elle-même reste la même que dans Nehalem - elle permet de traiter jusqu'à 4 instructions par cycle d'horloge et prend en charge les technologies Micro-Fusion et Macro-Fusion, qui rendent le flux d'instructions de sortie plus uniforme en termes de complexité de son exécution. Cependant, dans la nouvelle microarchitecture, les instructions décodées en micro-instructions du processeur ne sont pas simplement redirigées vers l'étape de traitement suivante, elles sont également mises en cache. En d’autres termes, en plus du cache d’instructions de premier niveau habituel de 32 Ko, qui est un attribut de presque tous les processeurs x86, Sandy Bridge a ajouté un autre cache « de niveau zéro » supplémentaire : le cache des résultats de décodage. Ce cache est la première référence à la microarchitecture NetBurst, car du point de vue des principes généraux de fonctionnement, il s'agit d'un analogue direct du toujours mémorable Execution Trace Cache.



Le cache des résultats de décodage a un volume d'environ 6 Ko et peut contenir jusqu'à un millier et demi de micro-instructions, ce qui en fait une aide importante pour le décodeur. Le fait est que désormais, si le décodeur détecte des instructions précédemment retraduites dans le flux de commandes d'entrée du cache, il les remplace immédiatement par des micro-instructions internes sans nouveau décodage. La présence d'un cache des résultats de décodage permet de soulager considérablement la charge du décodeur, qui est une partie assez énergivore du processeur. Selon Intel, ce cache supplémentaire est utile environ 80 % du temps, ce qui efface tout soupçon sur son inefficacité. De plus, pendant les moments d'inactivité, le décodeur du Sandy Bridge est éteint, ce qui contribue de manière significative à réduire la consommation électrique de ces processeurs.

La deuxième amélioration importante apportée aux étapes initiales du pipeline consiste à améliorer l’unité de prédiction de branchement. Signification bon fonctionnement ce nœud est difficile à surestimer, car chaque prédiction de branchement incorrecte conduit à la nécessité de s'arrêter et réinitialisation complète convoyeur En conséquence, les erreurs de prévision entraînent non seulement une diminution des performances, mais également le besoin d'énergie supplémentaire pour remplir le convoyeur. Il faut dire que dans ses derniers processeurs, Intel a réussi à atteindre une très haute efficacité de cette unité. Cependant, dans Sandy Bridge, tous les buffers qui stockent les adresses des transitions et leur historique ont été repensés afin de compacter les informations qu'ils contenaient. En conséquence, sans augmenter la taille des structures de données exploitées par l’unité de prédiction de branche, Intel a pu préserver un historique de branche plus profond. Et cela a eu un impact positif sur les performances du prédicteur, dont les performances sont directement liées à la quantité d'informations statistiques qu'il utilise sur les transitions conditionnelles effectuées. On estime que le nombre de succursales correctement prédites a augmenté à Sandy Bridge par rapport à son prédécesseur de plus de 5 %.



Mais les changements les plus intéressants ont affecté l'unité de réorganisation des instructions, qui est le nœud clé de tous les processeurs hors service. C'est ici que la parenté de la microarchitecture Sandy Bridge avec NetBurst est la plus clairement visible : les ingénieurs d'Intel ont renvoyé un fichier de registre physique à leur nouveau processeur, qui a été aboli dans Core et Nehalem au profit d'un fichier de registre centralisé et séparé. L'essence des changements est que si auparavant, lors de la réorganisation des micro-instructions, des copies complètes des registres qu'elles utilisaient pour chaque instruction traitée étaient stockées dans le tampon, seules les références aux valeurs de registre stockées dans le fichier de registre physique sont désormais utilisées. Cette approche élimine non seulement les transferts de données inutiles, mais élimine également la duplication multiple du contenu du registre, ce qui permet d'économiser de l'espace dans le fichier de registre.



En conséquence, l'unité d'exécution de commandes dans le désordre des processeurs Sandy Bridge peut garder jusqu'à 168 micro-instructions « en vue », tandis que dans les processeurs Nehalem, seules 128 micro-instructions ont été placées dans le tampon de réorganisation. De plus, certaines économies d'énergie sont réalisées. Cependant, le remplacement des valeurs de registre par des références à ces valeurs a également un côté négatif : le pipeline d'exécution acquiert des étapes supplémentaires nécessaires au déréférencement des pointeurs.

Mais dans le cas de Sandy Bridge, dans l’ensemble, les promoteurs n’avaient pas d’autre choix. Ce processeur introduit de nouvelles instructions AVX qui fonctionnent sur des registres de 256 bits, dont les multiples transferts de valeurs créeraient une surcharge importante. Et les ingénieurs ont pris un soin particulier à ce que les nouvelles commandes soient exécutées à bonne vitesse dans la microarchitecture de Sandy Bridge. Les performances élevées lorsque l'on travaille avec eux sont la clé de leur acceptation par les développeurs de logiciels, car ce n'est que dans ce cas qu'ils peuvent réellement augmenter le degré de parallélisme et le débit des calculs vectoriels.

Les instructions AVX elles-mêmes sont la poursuite du développement SSE qui étendent la capacité des opérations SIMD vectorielles typiques à des opérandes de 256 bits. En plus, nouvel ensemble permet d'effectuer des opérations sous une forme non destructive, c'est-à-dire sans perdre les données originales dans les registres. Grâce à ces propriétés, le jeu d'instructions AVX, ainsi que les améliorations microarchitecturales, peuvent également être considérées comme des innovations visant à augmenter les performances et à économiser de l'énergie, car leur mise en œuvre simplifiera de nombreux algorithmes et effectuera plus de travail en utilisant moins d'instructions. Les instructions AVX sont bien adaptées aux applications multimédias, scientifiques et financières à virgule flottante intensive.

Pour exécuter efficacement des instructions 256 bits, les actionneurs du processeur ont été spécialement repensés. L'essence des changements est que pour travailler avec des données 256 bits, les actionneurs 128 bits sont combinés par paires. Et étant donné que chacun des trois ports d'exécution de Sandy Bridge (comme Nehalem) dispose de dispositifs permettant de travailler simultanément avec trois types de données - 64 bits, 128 bits entiers ou 128 bits réels - une combinaison par paire de périphériques SIMD au sein d'un même port semble comme une solution tout à fait naturelle et raisonnable. Et surtout, une telle redistribution des ressources ne nuit pas au débit global de l’unité d’exécution du processeur.



L'accent mis par Sandy Bridge sur le travail avec des instructions vectorielles 256 bits a obligé les développeurs de processeurs à réfléchir à l'augmentation des performances appareils fonctionnels chargement et déchargement de données. Les trois ports permettant de travailler avec des données implémentés dans Nehalem ont migré vers Sandy Bridge. Mais pour augmenter leur efficacité, les ingénieurs ont unifié deux ports auparavant destinés au stockage des adresses et au chargement des données. Maintenant, ils sont devenus équivalents et tous deux peuvent soit charger des adresses et des données, soit télécharger des adresses. Le troisième port reste inchangé et est destiné au stockage des données. Étant donné que chaque port est capable de transmettre jusqu'à 16 octets par cycle, le débit total du cache de données de premier niveau dans la nouvelle microarchitecture a augmenté de 50 %. En conséquence, les processeurs dotés de la microarchitecture Sandy Bridge peuvent charger jusqu'à 32 octets de données et stocker 16 octets de données par cycle d'horloge.



En comparant toutes les innovations décrites, nous constatons que la microarchitecture des cœurs de calcul des processeurs Sandy Bridge a changé de manière plus que significative. Les innovations introduites, sans aucun doute, sont assez proches du fait que ces processeurs peuvent en réalité être attribués non pas à un simple raffinement des goulots d'étranglement de Nehalem, mais à un traitement assez sérieux.


De nouvelles approches d’intégration

Avec le développement de l'architecture Nehalem, Intel a commencé à prendre de réelles mesures pour augmenter le niveau d'intégration de ses processeurs. Ils ont commencé à transférer successivement des unités fonctionnelles qui étaient auparavant l'apanage d'un ensemble logique : contrôleur mémoire, contrôleur PCI Express, cœur graphique. De plus, un cache de troisième niveau a été ajouté au processeur. En d’autres termes, le processeur n’est pas seulement devenu un « centre de calcul » local, mais la concentration d’un grand groupe de blocs complexes hétérogènes.



Bien entendu, une telle combinaison présente de nombreux aspects positifs et permet d'augmenter la productivité en réduisant les délais d'échange de données. Cependant, plus il y a de blocs différents dans le processeur, plus il devient difficile de mettre en œuvre les relations entre eux au niveau électrique. Et le problème le plus grave à cet égard est la connexion du cache L3 partagé avec les cœurs de processeur, notamment à la lumière de la perspective d'une nouvelle augmentation de leur nombre. En d'autres termes, en travaillant sur la microarchitecture des processeurs Sandy Bridge, les développeurs ont été contraints de réfléchir sérieusement à l'organisation d'un schéma d'interaction pratique entre les unités fonctionnelles situées dans le processeur. La connexion croisée conventionnelle précédemment utilisée pourrait fonctionner dans des Nehalem à deux, quatre et six cœurs, mais elle n'est plus adaptée à une conception de processeur modulaire avec un grand nombre de cœurs différents.

En fait, cela a déjà été pris en compte dans les processeurs de serveur à huit cœurs Nehalem-EX, où le principe de connexion entre les cœurs de calcul et le cache L3 a été utilisé. nouvelle technologie. Cette technologie, qui a migré avec succès vers Sandy Bridge, est le ring bus. Dans les processeurs avancés, les cœurs de calcul, le cache, le cœur graphique et les éléments northbridge sont combinés avec un bus en anneau spécial avec un protocole de type QPI, ce qui a permis de réduire considérablement le nombre de connexions intra-processeur requises pour le routage des signaux.



Pour mettre en œuvre efficacement l'interaction des blocs fonctionnels du processeur avec le cache de troisième niveau via un bus en anneau, dans les processeurs Sandy Bridge, il est divisé en banques égales de 2 Mo chacune. La conception originale suppose que le nombre de ces banques correspond au nombre de cœurs de processeur. Mais à des fins marketing, sans compromettre l'intégrité du cache, les banques peuvent être déconnectées du bus, réduisant ainsi la taille globale du cache. Chacune des banques de mémoire cache est contrôlée par son propre arbitre, mais en même temps, elles travaillent toutes en étroite coopération - les informations n'y sont pas dupliquées. Diviser en banques ne signifie pas diviser le cache L3, cela permet simplement d'augmenter son débit, qui par conséquent évolue avec l'augmentation du nombre de cœurs et, par conséquent, de banques. Par exemple, étant donné que la largeur de « l’anneau » utilisé pour les transferts de données est de 32 octets, la bande passante maximale du cache L3 d’un processeur quadricœur fonctionnant à 3,4 GHz est de 435,2 Go/s.

Le bus en anneau n'est pas seulement bon pour son évolutivité à mesure que le nombre de cœurs de processeur augmente. Étant donné que lors de l'échange d'informations le long de « l'anneau », le chemin le plus court est utilisé, la latence du cache L3 a également diminué. Il s'agit désormais de 26 à 31 cycles, tandis que le cache Nehalem L3 offrait une latence de 35 à 40 cycles. Cependant, il convient de garder à l'esprit que toute la mémoire cache de Sandy Bridge fonctionne à la fréquence du processeur, c'est-à-dire qu'elle est également devenue plus rapide à cause de cela.

Un autre avantage apporté par le ring bus est qu'il permet de connecter le cœur graphique intégré au processeur à des chemins communs de transfert de données. Autrement dit, les graphiques de Sandy Bridge ne fonctionnent pas avec la mémoire directement, mais de la même manière avec les cœurs du processeur - via le cache de troisième niveau. Cela augmente ses performances et réduit également les dommages que les graphiques intégrés peuvent causer aux performances globales du système en rivalisant pour le bus mémoire avec les cœurs de traitement.

Le noyau graphique reçoit de nouvelles fonctionnalités

L'apparition d'un cœur graphique intégré dans un processeur n'est pas nouvelle : les processeurs de la famille Clarkdale avec GPU Intel HD Graphics intégré sont sur le marché depuis près d'un an. Mais dans Sandy Bridge, les cœurs graphiques et informatiques sont enfin devenus amis : ils sont situés sur la même puce semi-conductrice et sont reliés par un bus en anneau commun, à travers lequel toutes les autres ressources du processeur sont également utilisées. Cette restructuration de l'architecture, qui rapproche le cœur graphique du contrôleur mémoire et met à sa disposition toutes les capacités du cache de troisième niveau, a eu un effet positif sur les performances. Cependant, comme les cœurs de calcul, le cœur graphique a reçu d'autres améliorations importantes, grâce auxquelles il appartient formellement à la prochaine génération.



De manière générale, l'architecture du cœur graphique n'est pas devenue fondamentalement différente : elle repose toujours sur 12 processeurs exécutifs (shader). Cependant, les développeurs ont pu presque doubler leurs performances sur un certain nombre d'opérations et ont en outre obtenu un meilleur parallélisme dans leur travail. Grâce aux modifications apportées, la prise en charge de Shader Model 4.1 et DirectX 10.1 a été ajoutée aux caractéristiques du nouveau noyau graphique.



Depuis que le cœur graphique est passé à un cristal semi-conducteur de 32 nm, il est devenu possible d'augmenter sans douleur sa fréquence d'horloge, qui peut atteindre jusqu'à 1,35 GHz. Grâce à toutes ces actions, les graphiques Sandy Bridge dans les applications réelles seront comparables en vitesse à celles des cartes vidéo discrètes d'entrée de gamme. Intel a même pensé à implémenter un antialiasing plein écran en utilisant son noyau graphique prometteur ! En d'autres termes, Sandy Bridge a toutes les chances de devenir la solution graphique intégrée la plus productive, capable de piétiner les positions des cartes vidéo discrètes dans la gamme de prix inférieure. Bien que, bien sûr, AMD et NVIDIA, comme contre-argument, souligneront certainement le manque de prise en charge de DirectX 11, qui peut être utile non seulement les derniers jeux, mais aussi par exemple les applications utilisant DirectCompute, comme les navigateurs Internet de demain.

Cependant, l'affaire ne se limitait pas à améliorer l'architecture de base graphique existante. De nouveaux blocs spécialisés ont été ajoutés à la partie graphique de Sandy Bridge, conçus pour décoder et encoder les flux vidéo dans les formats populaires MPEG2, VC1 et AVC.



Bien sûr, aujourd'hui, vous ne surprendrez personne avec le décodage vidéo matériel ; le cœur graphique Clarkdale peut également le faire. Cependant, auparavant, cette opération était attribuée aux processeurs de shader, mais elle est désormais gérée par une unité fonctionnelle distincte. L'intérêt de cette redistribution des rôles est la nouvelle compatibilité avec la vidéo 3D ; pour le nouveau noyau graphique, le décodage matériel d'un flux Blu-ray 3D stéréo ou MVC ne pose aucun problème.

Un ajout encore plus intéressant était un codec matériel capable d'encoder un flux vidéo au format AVC. Concrètement, cela signifie que le cœur graphique de Sandy Bridge dispose de toutes les ressources dont il a besoin pour effectuer un transcodage vidéo à hautes performances sans consommer la puissance de traitement traditionnelle. Ce qui, en fait, étant donné l'utilisation généralisée des processeurs Intel, sera certainement utilisé avec succès par les développeurs de logiciels. De plus, les unités matérielles de codage et de décodage peuvent également être utilisées dans les systèmes basés sur le chipset Intel P67, c'est-à-dire utilisant une carte graphique discrète externe.



Il n'est pas nécessaire de chercher bien loin des exemples spécifiques : il est connu que la prise en charge des nouvelles capacités multimédias de Sandy Bridge sera présente dans des produits aussi populaires que ArcSoft MediaConverter, Corel DVD Factory, CyberLink MediaEspresso, Movavi. Convertisseur vidéo, Roxio Créateur, etc. Et, en passant, lors de l'utilisation d'unités multimédia du cœur graphique Sandy Bridge pour le transcodage vidéo, les processeurs shader restent libres de charge, que personne ne prend la peine de connecter au processus. traitement supplémentaire vidéo ou effets spéciaux.

Dans différents modèles de processeurs dotés de la microarchitecture Sandy Bridge, le cœur graphique sera présent en deux versions : Intel HD Graphics 2000 et Intel HD Graphics 3000. La différence réside dans le nombre de processeurs d'exécution actifs (shader). L'ancien modèle du noyau graphique, destiné à solutions mobiles et les processeurs plus anciens pour le segment « ordinateur de bureau » auront les 12 unités d'exécution, tandis qu'une variante simplifiée de ce cœur, Intel HD Graphics 2000, se contente de seulement six de ces unités. De plus, la fréquence d'Intel HD Graphics 2000 sera légèrement inférieure. Mais les éléments les plus intéressants du GPU - l'encodeur et le décodeur matériels - seront pleinement présents dans les deux versions.

Northbridge d'une nouvelle manière - agent système

Une seule unité fonctionnelle des processeurs Sandy Bridge n'a pas été examinée - ce que l'on appelle l'agent système, qui combine les contrôleurs interfaces externes processeur : PCI Express, DMI, mémoire et interfaces d'affichage. En fait, en la personne de l'agent système, nous avons à peu près la même chose que celle appelée Uncore dans les processeurs Nehalem. Cependant, l'agent système de Sandy Bridge n'est toujours pas un analogue complet d'Uncore. Il n'inclut pas le cache L3, qui dans la nouvelle microarchitecture agit comme une unité fonctionnelle distincte fonctionnant à la fréquence du processeur. Une autre différence entre l'agent système est que l'échange de données entre celui-ci et les cœurs du processeur et des graphiques, ainsi qu'avec le cache de troisième niveau, s'effectue via le même bus en anneau qui unit toutes les entités de Sandy Bridge.



Parlant des innovations disponibles dans l'agent système, je voudrais tout d'abord parler de l'amélioration tant souhaitée du contrôleur de mémoire. Dans les processeurs Westmere (Clarkdale), le contrôleur de mémoire combiné au cœur graphique ne fonctionnait pas bien. Dans Sandy Bridge, cette régression a finalement été éliminée : le nouveau contrôleur mémoire n'est au moins pas plus lent que le contrôleur mémoire des processeurs Lynnfield. Dans le même temps, le contrôleur prend en charge la SDRAM DDR3 double canal : formellement – ​​DDR3-1067 ou DDR3-1333, mais en fait les processeurs Sandy Bridge disposent d'un ensemble de multiplicateurs qui permettent à la mémoire d'être cadencée à des fréquences de 1600, 1866 et 2133. MHz.

Vous pouvez imaginer le niveau approximatif de performances du contrôleur mémoire Sandy Bridge, par exemple, sur la base des résultats disponibles du test Aida64.



Selon xfastest.com. Les tests ont été effectués sur Core i7-2400 avec
mémoire DDR3-1600 double canal avec timings 7-7-7-21-1T


La latence du sous-système mémoire dans un système doté d'un processeur Sandy Bridge s'avère comparable à la latence d'une plate-forme similaire dotée de processeurs LGA1156 Core i7. Dans le même temps, les nouveaux processeurs bénéficient clairement du débit du sous-système mémoire.

Le contrôleur de bus PCIE de Sandy Bridge est similaire au contrôleur similaire pour les processeurs LGA1156. Il prend en charge 16 voies PCI Express 2.0, qui peuvent être regroupées en un bus PCIE 16x ou deux bus PCIE 8x. C'est pourquoi l'ancienne plate-forme LGA1366 ne perdra pas de sa pertinence avec la sortie des systèmes LGA1155 : elle restera la seule option permettant d'assembler des sous-systèmes vidéo à pleine vitesse combinant plusieurs GPU connectés par un bus PCIE avec une bande passante maximale.

Un changement important s'est également produit en termes d'interfaces d'affichage prises en charge. Le cœur graphique des nouveaux processeurs pourra utiliser la version HDMI 1.4, dont la principale caractéristique est la prise en charge de la transmission d'images 3D.

Gestion de l'alimentation et overclocking

Un autre élément important de l'agent système Sandy Bridge, en plus des contrôleurs d'interface externes, est le PCU (Power Control Unit). Tout comme dans les processeurs Nehalem, cette unité est un microcontrôleur programmable qui collecte des informations sur les températures et la consommation de courant des différents nœuds du processeur et a la capacité de contrôler de manière interactive leur fréquence et leur tension d'alimentation. Le PCU met en œuvre à la fois des fonctions d'économie d'énergie et un mode turbo, qui a été développé à Sandy Bridge.

Tous les modules fonctionnels qui composent les processeurs Sandy Bridge sont divisés en trois domaines qui utilisent une horloge de fréquence indépendante et un circuit de connexion d'alimentation. Le premier et principal domaine combine les cœurs de processeur et le cache L3, qui fonctionnent à la même fréquence et tension. Le deuxième domaine est le cœur graphique, qui utilise sa propre fréquence. Le troisième domaine est l'agent système lui-même.



Cette séparation a permis aux ingénieurs de mettre en œuvre les technologies Enhanced Intel SpeedStep et Turbo Boost simultanément et indépendamment pour les cœurs graphiques et de processeur. Une approche similaire a déjà été appliquée dans processeurs mobiles Arrandale, mais là-bas, cela fonctionnait de manière simple, via le chauffeur. Sandy Bridge met en œuvre une solution entièrement matérielle qui contrôle les fréquences des cœurs informatiques et graphiques de manière interconnectée, en tenant compte de leur consommation actuelle. Cela vous permet d'obtenir un overclocking plus sérieux des cœurs de processeur, mis en œuvre via le mode turbo, tandis que le cœur graphique est inactif, et vice versa - un overclocking important du cœur graphique lorsque les cœurs de calcul ne sont pas complètement chargés. L'agressivité du mode turbo dans Sandy Bridge peut être facilement évaluée par le fait que la fréquence du processeur peut augmenter de quatre pas par rapport à la fréquence nominale, et la variation de la fréquence du cœur graphique peut atteindre six à sept pas.



Cependant, ce ne sont pas toutes les innovations de la technologie Turbo Boost. L'avantage de sa nouvelle implémentation réside également dans le fait que le PCU a la capacité de contrôler les fréquences de manière plus intelligente, en se concentrant sur les températures réelles des composants du processeur, et pas seulement sur leur consommation électrique. Cela signifie que lorsque le processeur fonctionne dans des conditions thermiques favorables, sa consommation électrique peut dépasser la limite TDP.

Au cours du travail quotidien typique, la charge du processeur fluctue. Le processeur passe la plupart de son temps dans des états d'économie d'énergie et des performances élevées ne sont requises que pendant de courtes périodes. Pendant de tels intervalles, l'échauffement du processeur n'a pas le temps d'atteindre des valeurs sérieuses - l'inertie fournie par la conductivité thermique du refroidisseur l'affecte. Le PCU qui contrôle les fréquences à Sandy Bridge estime à juste titre que rien de grave ne se produira si, à de tels moments, le processeur est overclocké plus que la valeur théorique de dissipation thermique ne peut théoriquement le permettre. Lorsque la température du processeur commence à approcher des valeurs critiques, la fréquence sera réduite à des valeurs sûres.



Cela se traduit automatiquement en rentabilité en termes de réalisation performance maximum utilisation d'un refroidissement de haute qualité dans les systèmes basés sur Sandy Bridge. Mais ne vous faites pas d'illusions : la durée maximale de fonctionnement dans l'état « au-delà du TDP » est limitée par le matériel à 25 secondes.

Quant à l'overclocking conventionnel, réalisé selon des méthodes traditionnelles, on peut ici aussi s'attendre à des changements spectaculaires, qui ne seront probablement pas accueillis avec enthousiasme par les overclockers. La racine de tous les maux réside dans le même désir d'intégration : sur les plates-formes LGA1155, Intel a déplacé le générateur de fréquence de base vers l'ensemble logique du système. Cependant, ce n'est pas cela qui a eu des conséquences fatales pour l'overclocking traditionnel, mais le fait que le générateur de fréquence est devenu le seul et qu'il est utilisé pour générer toutes les fréquences du système. Comme vous le savez, tous les bus et contrôleurs ne gèrent pas bien l’overclocking. Par exemple, lors de l'augmentation de la fréquence du bus PCI Express ou de la vitesse de fonctionnement des contrôleurs USB ou SATA, une instabilité peut survenir très rapidement. Et c'est ce facteur qui deviendra un obstacle sérieux lorsque l'on tentera d'augmenter la fréquence du processeur central en overclockant le générateur de fréquence de base.



Les faits sont les suivants. La fréquence d'horloge de base utilisée dans les processeurs Sandy Bridge est fixée à 100 MHz. Le générateur lui-même permet de faire varier les valeurs de cette fréquence dans une très large plage et même par pas de 0,1 MHz. Cependant, les tentatives visant à l’augmenter se heurtent très rapidement à une instabilité ou à une inopérabilité du système. Ainsi, nous ne connaissons aucune expérience réussie dans l’augmentation de la fréquence de base au-dessus de 105 MHz. En d'autres termes, la méthode traditionnelle et éprouvée d'overclocking en augmentant la fréquence du générateur d'horloge dans les systèmes basés sur Sandy Bridge échoue et ne permet pas à l'overclocking de dépasser 5 % frivole.

La seule option vraiment significative pour overclocker les processeurs LGA1155 prometteurs consiste donc à augmenter leur facteur de multiplication. Parmi les modèles Sandy Bridge qu'Intel va proposer à ses clients, il y aura des produits spéciaux qui n'ont pas de multiplicateur verrouillé et peuvent, en théorie, être overclockés à 5,7 GHz (57 est la valeur maximale du multiplicateur intégrée à la microarchitecture). Cependant, ces processeurs, qui seront désignés par le suffixe « K » dans le numéro du processeur, seront classés dans la catégorie de prix supérieure et coûteront un peu plus cher que leurs homologues classiques.

Pour les utilisateurs de modèles de processeurs ordinaires, un overclocking artificiellement limité sera proposé - ces processeurs permettront également une augmentation du facteur de multiplication, mais pas plus de 4 pas par rapport à la valeur standard. De plus, nous parlons spécifiquement d'overclocking : changer le multiplicateur n'affectera en rien la technologie Turbo Boost, qui, en plus de cette augmentation manuelle de la fréquence, ajoutera également sa propre augmentation automatique. De plus, dans tous ses processeurs, Intel ne limitera pas les multiplicateurs qui déterminent la fréquence du cœur graphique et de la mémoire. Autrement dit, l'overclocking du cœur graphique et de la mémoire sera disponible dans les systèmes avec toutes les modifications de Sandy Bridge - à la fois overclockées et régulières.

Cependant, il est peu probable que les overclockeurs considèrent cette compensation suffisante, ils s'intéresseront donc très probablement exclusivement aux processeurs déverrouillés - Core i5-2500K et Core i7-2600K. De plus, les informations disponibles sur leur potentiel de fréquence semblent très encourageantes. Par exemple, il existe des preuves des performances stables du Core i7-2600K lorsqu'il est overclocké et jusqu'à 5,0 GHz avec refroidissement par air.



vent avec moi les données, http://itbbs.pconline.com.cn/diy/12120702.html


Le résultat décrit a été obtenu en utilisant le refroidisseur Prolimatech Mega Shadow Deluxe Edition et en augmentant la tension du cœur du processeur à 1,45 V. Bien sûr, il est peu probable qu'une augmentation de tension aussi importante convienne à une utilisation quotidienne, mais nous pensons qu'à des fréquences d'environ Les processeurs Sandy Bridge 4,8 GHz fonctionneront 24h/24 et 7j/7, comme ils le peuvent certainement.

Résumons-le

Revenant au début de cet article, je voudrais rappeler qu'Intel positionne Sandy Bridge comme un « tac » dans le cadre de sa stratégie « tic-tac ». Cela signifie que, selon le constructeur, ce processeur est porteur d'une nouvelle microarchitecture. En même temps, en examinant sa structure, nous n'avons trouvé aucune idée fondamentalement nouvelle qui frapperait l'imagination. En fait, il n’y a que de nombreuses petites améliorations, la résurrection d’anciennes technologies à succès et une intégration plus poussée. Est-il raisonnable de parler dans ce cas d'une nouvelle génération de processeurs, ou faut-il vraiment considérer Sandy Bridge uniquement comme un Nehalem évolué ?



Et ici, nous n'avons aucun doute - nous sommes entièrement d'accord avec l'opinion d'Intel. Les processeurs Sandy Bridge sont une excellente illustration de l'émergence d'une nouvelle qualité due à l'accumulation de changements quantitatifs. De nombreuses innovations dans la microarchitecture des cœurs de calcul, l'ajout de la prise en charge des instructions AVX 256 bits, un cœur graphique amélioré, l'apparition d'unités matérielles pour l'encodage et le décodage vidéo, un nouveau cache L3, un bus en anneau, un système intelligent agent, une technologie Turbo Boost plus agressive et des fréquences d'horloge accrues - c'est tout Individuellement, cela peut sembler une petite chose, mais au total, cela produit un produit qui s'est fondamentalement amélioré. De plus, sa supériorité est tout à fait tangible - cela se voit clairement dans le fait que Sandy Bridge est devenu nettement plus rapide que ses prédécesseurs, tout en restant dans le même package thermique.

Bien entendu, lorsque nous disons « de manière significative », nous ne parlons pas d’une augmentation significative de la vitesse. Cependant, en remplaçant un système LGA1156 basé sur Lynnfield ou Clarkdale par un ensemble de carte mère LGA1155 et de processeur Sandy Bridge au prix similaire, vous pouvez vous attendre à une augmentation d'au moins 25 % des performances dans toutes les applications dépendantes du processeur.

Cependant, il existe également certaines catégories de problèmes pour lesquels Sandy Bridge sera bien meilleur que ses prédécesseurs grâce aux nouveaux blocs structurels. Tout d'abord, une augmentation significative des performances est attendue dans de nombreux utilitaires de transcodage vidéo, pour les besoins desquels des codecs et décodeurs matériels spéciaux ont été ajoutés aux nouveaux processeurs. De plus, les algorithmes multimédias, cryptographiques, scientifiques ou financiers utilisant les nouveaux jeux d'instructions AES-NI et AVX pourront s'exécuter beaucoup plus rapidement sur les nouveaux processeurs. Bien sûr, tous ces avantages ne pourront être obtenus qu'avec une optimisation logicielle spéciale, mais il semble que vous n'aurez pas à attendre trop longtemps, car les ingénieurs d'Intel ont tout mis en œuvre pour que les innovations conviennent aux développeurs.

Les utilisateurs qui ont l'intention d'utiliser le noyau graphique intégré bénéficieront également grandement de la nouvelle plate-forme. Par rapport à la version précédente d'Intel HD Graphics, il est devenu nettement plus rapide, ce que les propriétaires de futurs ordinateurs portables basés sur Sandy Bridge et nouvelle plateforme Rivière Huron. Et si les nouveaux processeurs sont prévus pour être utilisés dans le cadre d'ordinateurs personnels ou de HTPC, le cœur graphique intégré appréciera la prise en charge de l'interface HDMI 1.4, qui vous permet de transmettre des images 3D à des appareils externes.

En général, il semble y avoir un seul inconvénient sérieux dans Sandy Bridge : des problèmes d'overclocking. Et si les acheteurs de processeurs de la catégorie de prix supérieure peuvent, en payant un petit supplément, obtenir un processeur déverrouillé compatible avec l'overclocking, alors parmi les processeurs moins chers que 200 $, de telles options n'existeront pas. La plate-forme LGA1155 marquera donc une autre tendance : Intel veut limiter les capacités d'overclocking des processeurs à faible coût. Cependant, il est peu probable que cela ait un impact majeur sur la popularité de l'overclocking en tant que phénomène - les adeptes du fonctionnement des équipements à la limite de leurs capacités seront volontiers acceptés parmi ses adhérents par AMD, qui devrait lancer son propre produit cette année, pas moins historique que Sandy Bridge - Bulldozer.